Please wait a minute...
浙江大学学报(工学版)
电信技术     
片上光电互连的多核系统仿真方法
于绩洋,刘鹏,华幸成,马骧,杨建义
浙江大学 信息与电子工程学院,浙江 杭州 310027
Simulation approach of hybrid optical electrical on chip interconnects for multicore systems
YU Ji yang, LIU Peng, HUA Xing cheng, MA Xiang, YANG Jian yi
College of Information Science and Electronic Engineering, Zhejiang University, Hangzhou, 310027, China
 全文: PDF(1978 KB)   HTML
摘要:

为了攻克多核系统的光电互连网络模拟的仿真精度、支持多核系统的整体系统仿真、对多核光电互连结构和处理器微结构进行量化研究和评估,提出一种面向多核光电互连系统的仿真方案.对光电器件进行物理层精确建模,实现器件库与功能模型、时序模型和成本模型协同工作;采用周期同步机制,实现多核系统光电互连网络的周期级精确仿真;通过多线程扩展机制,支持16至256核多核系统的快速定量评估.结果表明,面向多核光电互连系统的跨层仿真方案可以分析256核情况下不同分簇大小的网络功耗、时延等性能,可探索光电互连网络体系结构的设计空间.

Abstract:

A simulation approach of optical electrical interconnects for multicore systems was proposed to address the accuracy of simulation for optical electrical on chip interconnects of multicore systems, to support  the system simulation, and to study and evaluate hybrid optical electrical on chip interconnects and microarchitectures.  The optical devices and electrical devices were accurately modeled, and the device library worked cooperatively with the functional model. timing model, and cost model. A cycle synchronization mechanism was employed to achieve cycle accurate simulation for optical electrical on chip interconnects. A multithreading mechanism was implemented to extend the simulation scale from 16 node to 256 node. The experimental results show that the proposed simulation approach can analyze the network performance of 256 node systems, in terms of network power consumption and latency. The cross layer simulation solution can explore the design space of optical electrical on chip networks architecture.

出版日期: 2015-11-01
:  TP 302  
基金资助:

华为技术有限公司资助项目(YB2012120072,YB2014100047)

通讯作者: 刘鹏,男,副教授.ORCID:0000 0001 9107 6673.     E-mail: liupeng@zju.edu.cn
作者简介: 于绩洋(1986- ),男,博士生,从事多核互连体系结构方向的研究.ORCID:0000 0001 8673 028X.E-mail:zju.isee.yjy@gmail.com
服务  
把本文推荐给朋友
加入引用管理器
E-mail Alert
RSS
作者相关文章  

引用本文:

于绩洋,刘鹏,华幸成,马骧,杨建义. 片上光电互连的多核系统仿真方法[J]. 浙江大学学报(工学版), 10.3785/j.issn.1008 973X.2015.11.025.

YU Ji yang, LIU Peng, HUA Xing cheng, MA Xiang, YANG Jian yi. Simulation approach of hybrid optical electrical on chip interconnects for multicore systems. JOURNAL OF ZHEJIANG UNIVERSITY (ENGINEERING SCIENCE), 10.3785/j.issn.1008 973X.2015.11.025.

链接本文:

http://www.zjujournals.com/eng/CN/10.3785/j.issn.1008 973X.2015.11.025        http://www.zjujournals.com/eng/CN/Y2015/V49/I11/2214

[1] CHAN J, HENDRY G, BIBERMAN A, et al. Phoenixsim: A simulator for physical layer analysis of chip scale photonic interconnection networks [C]∥Proceedings of the Conference on Design, Automation and Test in Europe. Dresden, Germany: European Design and Automation Association, 2010: 691-696.
[2] SUN C, CHEN C H, KURIAN G, et al. DSENT : a tool connecting emerging photonics with electronics for opto electronic networks on chip modeling [C]∥Proceedings of the 6th IEEE/ACM International Symposium on Networks on Chip. Lyngby, Denmark: IEEE, 2012: 201-210.
[3] MILLER J E, KASTURE H, KURIAN G, et al. Graphite: A distributed parallel simulator for multicores [C]∥Proceedings of the16th International Symposium on High Performance Computer Architecture. Bangalore, India: IEEE, 2010: 1-12.
[4] CHIEN A A. A cost and speed model for k ary n cube wormhole routers [J]. Urbana, 1993, 51: 61-801.
[5] KAHNG A B, LI B, PEH L S, et al. Orion 20: A fast and accurate noc power and area model for early stage design space exploration [C]∥Proceedings of the Conference on Design, Automation and Test in Europe. Nice, France: European Design and Automation Association, 2009: 423-428.
[6] LI S, AHN J H, STRONG R D, et al. McPAT: an integrated power, area, and timing modeling framework for multicore and manycore architectures [C]∥Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture. New York, United State: IEEE, 2009: 469-480.
[7] BRIERE M, DROUARD E, MIEYEVILLE F, et al. Heterogeneous modelling of an optical network on chip with SystemC [C]∥Proceedings of the 16th IEEE International Workshop on Rapid System Prototyping. Montreal, Canada: IEEE, 2005: 10-16.
[8] KODI A K, LOURI A. Optisim: A system simulation methodology for optically interconnected HPC systems [J]. Micro, IEEE, 2008, 28 (5): 22-36.
[9] OCONNOR I, TISSAFI DRISSI F, GAFFIOT F, et al. Systematic simulation based predictive synthesis of integrated optical interconnect [J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2007, 15 (8): 927-940.
[10] VANTREASE D, SCHREIBER R, MONCHIERO M, et al. Corona: System implications of emerging nanophotonic technology [J]. ACM SIGARCH Computer Architecture News, 2008, 36 (3): 153-164.
[11] PSOTA J, MILLER J, KURIAN G, et al. ATAC: Improving performance and programmability with on chip optical networks [C]∥Proceedings of 2010 IEEE International Symposium on Circuits and Systems. Paris, France: IEEE, 2010: 3325-3328.
[12] AUSTIN T, LARSON E, ERNST D. SimpleScalar: An infrastructure for computer system modeling [J]. Computer, 2002, 35 (2): 59-67.
[13] XUE J, GARG A, CIFTCIOGLU B, et al. An intra chip free space optical interconnect [C]∥Proceedings of the 37th Annual International Symposium on Computer Architecture. Saint Malo, France: ACM, 2010: 94-105.
[14] TRAN C, ANYANWU C, BALAKRISHNAN S, et al. The MIPS32 24KE Core Family: High Performance RISC Cores with DSP Enhancements[R].Sunnyvale, United States: M. Technologies, 2005.
[15] SHANG L. POPNET simulator [EB/OL]. [2015 08 31]. http://www.sanjuansw.com/pub/SJS%20125 300 13%20PopNet2%20Data%20Sheet.pdf.
[16] YU J Y, LIU P, WANG W D, et al. An efficient protocol with synchronization accelerator for multi processor embedded systems [J]. Parallel Computing, 2013, 39 (9): 461474.
[17] BUTENHOF D R. Programming with POSIX threads [M]. Indianapolis, United State: Addison Wesley Professional. 1997: 35-44.
[18] MA X, YU J, HUA X, et al. LioeSim: a network simulator for hybrid opto electronic networks on chip analysis [J]. Journal of Lightwave Technology, 2014, 32 (22): 3699-3708.
[19] BARWICZ T, BYUN H, GAN F, et al. Silicon photonics for compact, energy efficient interconnects [J]. Journal of Optical Networking, 2007, 6 (1): 63-73.
[20] LI Z, ZHOU L, HU Y, et al. CMOS compatible silicon based Mach Zehnder optical modulators with improved extinction ratio [C]∥Proceedings of the International Photonics and Optoelectronics Meetings. Wuhan: International Society for Optics and Photonics, 2011: 833305-833306.
[21] YANG M, GREEN W M, ASSEFA S, et al. Non blocking 4x4 electro optic silicon switch for on chip photonic networks [J]. Optics express, 2011, 19 (1): 47-54.
[22] KURIAN G, MILLER J E, PSOTA J, et al. ATAC: a 1000 core cache coherent processor with on chip optical network [C]∥Proceedings of the 19th International Conference on Parallel Architectures and Compilation Techniques. Vienna, Austria: ACM, 2010: 477-488.
[23] WOO S C, OHARA M, TORRIE E, et al. The SPLASH 2 programs: Characterization and methodological considerations [C]∥Proceedings of the 22nd annual international symposium on Computer architecture. Santa Margherita Ligure, Italy: ACM, 1995: 24-36.
[24] BIENIA C, KUMAR S, SINGH J P, et al. The PARSEC benchmark suite: characterization and architectural implications [C]∥Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques. Toronto, Canada: ACM, 2008: 72-81.

[1] 季长清,余胜,王宝凤,陶帅,汪祖民,王润方. 移动云计算环境下的双色反近邻查询算法[J]. 浙江大学学报(工学版), 2016, 50(7): 1330-1337.
[2] 叶霞,辛愿,刘勇,刘鹏. 基于媒体数字信号处理器的流预取机制[J]. J4, 2014, 48(2): 268-278.
[3] 全励, 程爱莲, 潘赟, 丁勇, 严晓浪. 基于旁路通道的片上网络差别型服务实现方法[J]. J4, 2013, 47(6): 957-968.
[4] 苏程, 俞伟斌, 倪广翼, 黄智才, 陶春辉, 章孝灿. 深水多波束测深侧扫声纳显控系统研究[J]. J4, 2013, 47(6): 934-943.
[5] 张振, 李善平. 变频感知的处理器服务时间估算方法[J]. J4, 2012, 46(4): 725-733.
[6] 傅朝阳, 高济, 周尤明. 基于承诺的agent组织描述工具[J]. J4, 2011, 45(4): 627-636.
[7] 曹晓阳, 潘赟, 严晓浪, 宦若虹. 低面积-时间复杂度的离散余弦变换脉动结构[J]. J4, 2011, 45(4): 656-659.
[8] 徐鸿明,孟建熠,严晓浪,葛海通. 基于高速缓存资源共享的TLB设计方法[J]. J4, 2011, 45(3): 462-466.
[9] 黄雪维,张培勇,吕冬明,郑丹丹,严晓浪. 基于时延搜索的SRAM建立时间快速提取方法[J]. J4, 2011, 45(3): 445-450.
[10] 龚帅帅,吴晓波,孟建熠,丁永林. 基于历史链接关系的指令高速缓存低功耗方法[J]. J4, 2011, 45(3): 467-471.
[11] 丁勇, 孙纲德, 严晓浪. 基于运动补偿和自适应插值的混合去隔行方法[J]. J4, 2011, 45(2): 323-329.
[12] 丁勇, 王翔, 严晓浪. 边缘自适应的四点分段抛物线图像缩放[J]. J4, 2010, 44(9): 1637-1642.
[13] 蔡卫光, 姚庆栋, 刘鹏, 等. 基于提前写回策略的数据转发优化方法[J]. J4, 2010, 44(1): 75-80.
[14] 黄江伟, 胡威, 项凌翔, 等. 基于电池模型驱动的软硬件低功耗设计[J]. J4, 2009, 43(12): 2149-2154.